您現在的位置是:首頁 > 綜藝首頁綜藝

2nm之戰,英特爾能否搶佔先機?

由 愛集微APP 發表于 綜藝2022-12-07
簡介作為曾經的晶片製造巨頭英特爾,在10nm及7nm上被臺積電、三星拉開差距後,也在積極探索2nm及更先進製程,目標是到2030年成為全球第二大代工廠

汽車導航定不了位怎麼回事

集微網報道,半導體制程不斷微縮,面臨物理極限,全球2nm先進製程戰爭已全面開啟。繼臺積電、三星、IBM加碼2nm後,日本新成立晶片公司Rapidus,並曾表示最早在2025年在日本建立2nm半導體制造基地。作為曾經在晶片製造領域稱霸一時的英特爾,雖錯過了10nm和7nm的商機,但在最近的Q3財報中公佈英特爾20A第一批內部測試晶片已流片。

摩爾定律日漸放緩,2nm將是先進製程的極限嗎?2nm之爭為何如此重要?英特爾將能透過“Intel 20A”工藝搶佔先機嗎?

摩爾定律放緩,2nm是目前競逐的目標

在積體電路光刻技術的發展階段,受到的主要是光的波長限制。所以科學家們所做的工作主要是不斷降低用於曝光的光線波長以此來不斷提高光刻解析度。隨著解析度變高,同樣大小的矽晶圓上,可以生產更多的晶片。

2nm之戰,英特爾能否搶佔先機?

21世紀以來,可量產的晶片製程從130nm發展到瞭如今的3nm。2015年半導體進入了14nm時代,是晶片製程發展的一個分水嶺。2017年,晶片製程步入10nm時代,而英特爾停在了10nm,i5和i7處理器由於良率問題而遲遲無法交貨。2018年,7nm來臨,英特爾至今無法突破。反觀其競爭對手臺積電,3nm製程已於2021年風險量產。

而2nm作為3nm之後的下一個先進工藝節點,對於目前技術的革新十分關鍵。

TrendForce分析師喬安表示,半導體制程已逐漸逼近物理極限,因此電晶體架構的改變、新興材料的應用、亦或是封裝技術的演進都會是晶片持續提高效能、降低功耗的關鍵。而業界認為,在新結構的創新和新材料的引入上,2nm有望成為新的轉折點。

在電晶體結構上,根據國際器件和系統路線圖的規劃,在2021~2022年以後,鰭式場效應電晶體(FinFET)結構將逐步被環繞式柵極(Gate-All-Around FET,以下簡稱“GAA”)結構所取代。相比於FinFET,GAA結構可以更為精確地減少漏電損耗,降低功耗。

2nm發展已是大勢所趨,臺積電、三星以及英特爾紛紛佈局2nm賽道,希望佔據先進製程的“制高點”。

群雄競逐2nm,頭部廠商各顯神通

臺積電一馬當先啟動2nm研發

在2019年,臺積電便宣佈啟動2nm工藝的研發。今年7月份,臺積電曾宣佈將花費1萬億元新臺幣(約合人民幣 2290億元)擴大2nm產能佈局,並在2024年試產,2025年開始量產。臺積電表示,2nm晶片比3nm的運算速度快10%至15%,省電達25%至30%。

有業界訊息表示,臺積電在2nm先進製程研發上取得重大突破,已成功找到路徑,將切入GAA技術。另外,業內人士指出,臺積電位於新竹科學園區寶山園區的2nm晶片廠,該工廠於2022年年中剛剛獲得土地,即將開始地面工程,但該廠將於2025年開始商業運營。

TrendForce資料顯示,目前臺積電的代工市場份額接近52。9%。臺積電在先進製程上一直處於領先地位,其於2018年推出7nm,2020年推出5nm,今年3nm也即將量產,因此有望在2025年率先實現2nm量產。

三星最先採用GAA結構

三星方面,根據計劃,2nm工藝上將採用GAA結構,以取代目前主流的鰭式場效應電晶體(FinFET)結構。但在今年6月份,三星方面官方宣佈,基於GAA結構的3nm製程晶片已開始初步生產。在今年上半年試產使用GAA結構的新工藝後,三星計劃在2023年將其引入第二代3nm晶片中,並在2025年量產基於GAA結構的2nm晶片。三星在3nm就已經走過了GAA的學習曲線,這是一個很大的優勢。

在投入力度上,三星也可謂一擲千金。財報顯示,雖然2021年三星電子在半導體領域投資約337億美元,與臺積電約300億美元投入相當,但在未來五年,三星則宣佈將投資3600億美元用於半導體先進製程,年均超700億美元。

2nm之戰,英特爾能否搶佔先機?

IBM搶跑2nm製造工藝

IBM是先進工藝研發的佼佼者,它曾率先推出7nm、5nm乃至2nm工藝。2021年5月,IBM釋出了全球首個2nm製造工藝,可用於5G、量子計算和資料中心,並在美國紐約州奧爾巴尼的工廠展示了2nm工藝生產的完整300mm晶圓。

據外媒此前報道,IBM已與三星、英特爾簽署了聯合開發協議。不過,該技術目前仍處在概念驗證階段,可能還需幾年才能投入市場。

日企聯合開發2nm

近日,包括豐田汽車和索尼集團在內的八家日本公司合作成立了一家晶片公司Rapidus,目標是在2030年前開發和生產2nm及以下半導體,日本政府將向Rapidus投資700億日元(約4。93億美元)加入八家企業支持者行列。

據外媒訊息,IBM將和Rapidus合作開發2nm晶片。該協議是日本斥資數十億美元重振其萎靡不振的半導體產業、減少對中國臺灣地區晶片生產的依賴並促進經濟安全的一部分。經濟產業大臣西村康稔近日在新聞釋出會上表示:“我們希望透過與美國和其他國家的研究機構和產業合作,加強日本半導體相關產業的基礎和競爭力”。

2nm之戰,英特爾能否搶佔先機?

作為曾經的晶片製造巨頭英特爾,在10nm及7nm上被臺積電、三星拉開差距後,也在積極探索2nm及更先進製程,

目標是到2030年

成為全球第二大代工廠。

2nm之戰,英特爾能否搶佔先機?

自2021年提出IDM 2。0戰略後,英特爾瞄準了從7nm至1。8nm的多代製程研發計劃,計劃一年一代推進,其中2024年將量產2nm製程,2025年量產1。8nm製程。

根據去年公佈的計劃,英特爾將在美國亞利桑那州投資約200億美元,新建兩座晶圓製造工廠。而進入2022年以來,英特爾還宣佈新增200億美元在俄亥俄州再建兩座新晶圓廠,並計劃於2025年投產。英特爾除了在美國亞利桑那州、俄亥俄州和新墨西哥州等地大力投資晶片製造設施外,還在2月份收購了以色列代工廠Tower Semiconductor。在全球範圍內,將對愛爾蘭和德國的晶圓廠進行投資,同時保留Tower在日本的分公司。

英特爾2022年第三季度財報顯示,更先進的Intel 18A/20A目前進展順利,這些新的製程節點將受益於RibbonFET和PowerVia兩大突破性技術。第一批內部晶片已經在實驗室中測試,另外還有一個潛在的外部客戶,其下一代產品已在Intel 18A工藝上流片。英特爾此次公佈的Intel 20A進展,無疑是英特爾在晶片製造領域的付出的結果顯現。雖然只是“內部測試晶片已流片”,但相較臺積電和三星的2nm工藝進展還是比較快的。若英特爾、三星、臺積電的2nm工藝都如期釋出,那麼Intel 20A就是“王炸”,英特爾的工藝將會在一段時間內保持“世界第一”的位置。

但是,晶片市場的反饋往往需要一個較長週期,英特爾此前被臺積電、三星拉開差距後,尋回客戶的信任也需要較長時間。作為晶片製造商和設計者的雙重角色,英特爾很難說服英偉達、AMD、蘋果和高通向英特爾代工服務(IFA)下大單,因此英特爾在代工領域趕超三星,也將是一個漫長的過程,讓我們拭目以待。

(校對/李梅)